Welcome![Sign In][Sign Up]
Location:
Search - nand flash controller

Search list

[Communicationmicron nand flash simulation model

Description: If you want to code a nand flash controller, you must be need a simulation model of a existing nand flash. This appedix is your wanted. It is a verilogHDL code.
Platform: | Size: 63077 | Author: ironszark | Hits:

[VHDL-FPGA-Verilognand flash controller

Description: 实现了对nand flash的基本读写操作。
Platform: | Size: 4605 | Author: tachiang | Hits:

[Industry researchIBM -- NAND Flash Controller Data Book

Description: This book begins with an overview followed by detailed information on Nand Flash controller software interface, hardware interface, functional operation and core integration.
Platform: | Size: 1042186 | Author: peppermint | Hits:

[ARM-PowerPC-ColdFire-MIPSNAND_IO_Drivers_MX21

Description: freescale mx1/dragon ball, flash controller driver c source reference.
Platform: | Size: 13312 | Author: ken pu | Hits:

[OtherNAND_Controller_and_ECC_VHDL

Description: NAND Flash Controller & ECC VHDL Code-NAND Flash Controller
Platform: | Size: 22528 | Author: | Hits:

[OtherS3C2410datasheet(chinese)

Description: 三星公司arm9处理器芯片手册部分资料(中文) 包括: 处理器综述; 处理器工作模式; 存储器控制器; NAND FLASH控制器; 触摸屏和ADC接口 存储器控制器-Samsung ARM9 processor chip part of the information manual (Chinese), including: processor synthesis processor mode memory controller NAND FLASH controller touch screen and memory controller interface ADC
Platform: | Size: 1304576 | Author: 陈文明 | Hits:

[ARM-PowerPC-ColdFire-MIPSUSB97C223Firmware

Description: U盘控制器USB97C223的固件代码,对2kPAGE NAND FLASH 有很好的支持.-U disk controller firmware USB97C223 code, 2kPAGE NAND FLASH to have very good support.
Platform: | Size: 1019904 | Author: xu | Hits:

[SCMlearn_s3c2410

Description: S3C2410开发实验源代码及实验指导;内容包括: LED_ON LED_ON_C IO_ports arm-linux-ld memory_controller Nand Flash controller uart printf,scanf interrupt controller timer mmu clock vivi等; 主要讲述了单片机s3c2410的使用。-S3C2410 development of the experimental source code and experimental guidance content including: LED_ON LED_ON_C IO_ports arm-linux-ld memory_controller Nand Flash controller uart printf, scanf interrupt controller timer mmu clock vivi etc. focuses on the use of a single-chip S3C2410.
Platform: | Size: 1549312 | Author: 何杰 | Hits:

[VHDL-FPGA-Veriloghdl

Description: 用Verilog语言编写的实现NAND Flash块的控制存取以及同步的FIFO的控制-Using Verilog languages realize NAND Flash block to control access as well as the synchronization FIFO control
Platform: | Size: 6144 | Author: 刘义春 | Hits:

[ARM-PowerPC-ColdFire-MIPSs3c6400_nand_flash

Description: S3C6400 nand flash ,nand flash controller!
Platform: | Size: 2048 | Author: 洪兵 | Hits:

[ARM-PowerPC-ColdFire-MIPSFLASHcontrollercode

Description: NAND FLASH控制器源代码(测试通过)-NAND FLASH controller source code (the test)
Platform: | Size: 2048 | Author: 王一 | Hits:

[FlashMXSD-Mem-Ctrl-1-2-Flyer

Description: SD 2.0 Memory Controller SD Bus Interface SD Controller Logic NAND Flash Controller
Platform: | Size: 75776 | Author: roger shan | Hits:

[VHDL-FPGA-VerilogRS_5_3_GF256

Description: 用于NAND FLASH CONTROLLER 中的 ecc 各个模块VHDL代码-NAND FLASH CONTROLLER for ecc modules in VHDL code
Platform: | Size: 197632 | Author: 陈佳宜 | Hits:

[ARM-PowerPC-ColdFire-MIPSflash_operator

Description: 本代码为控制三星公司nand flash,型号k9f5608,实现了读写和擦除操作,方便调用-The code for the control of Samsung nand flash, model k9f5608, achieved a read-write and erase operations to facilitate the call
Platform: | Size: 1396736 | Author: kaishi | Hits:

[Otheraltera_nand_controller

Description: Altera合作伙伴Eureka Technology.和Cast Inc.为Altera FPGA芯片定制的Nand flash controller IP core-Altera partner Eureka Technology. And the Cast Inc. For the Altera FPGA chip custom Nand flash controller IP core
Platform: | Size: 296960 | Author: Trevor | Hits:

[VHDL-FPGA-VerilogNandFlash-FPGA-controller(ECC)

Description: 该压缩包包括NAND FLASH(美光)的FPGA控制器的原理及VHDL源码,非常具有参考价值。-The archive includes NAND FLASH (Micron) the principle of the FPGA and VHDL source code control, very valuable reference.
Platform: | Size: 1587200 | Author: 张明利 | Hits:

[VHDL-FPGA-Verilogflash-controler

Description: 基于FPGA的nand flash控制源码-nand flash controller
Platform: | Size: 388096 | Author: l | Hits:

[VHDL-FPGA-VerilogNand-Flash-Validation-1

Description: 在xilinx平台上验证一个FLASH controller(ip 核)的程序。-validate the functions of nand flash controller
Platform: | Size: 2048 | Author: 林龙 | Hits:

[Software EngineeringNAND-FLASH-Controller-by-FPGA

Description: NAND FALSH 结构特点,提高了存储密度,降低了每比特的成本,与NOR FLASH 相比写入速度大大提高。但是NAND FLASH 采用复用的数据线和地址线,必须先通过寄存 器串行地进行数据的存取,加上各个产品对信号的定义不同,因此与NOR FLASH 相比增加 了应用难度。本文设计的NAND FLASH 控制器,可以更容易的利用NAND FLASH.-NAND FLASH structural features, increased storage density, lower cost per bit, and NOR FLASH Compared to the write speed is greatly improved. But NAND FLASH using multiplexed data and address lines, you must first register by The serial access to the data, with different definitions of each product signal, thus increasing compared with the NOR FLASH Application difficult. This design of NAND FLASH controllers can more easily take advantage of NAND FLASH.
Platform: | Size: 206848 | Author: CharleeChan | Hits:

[ARM-PowerPC-ColdFire-MIPSeetop.cn_NAND_Controller

Description: NAND flash controller 的相关文档,能帮助硬件设计者和软件维护者尽快熟悉NANA flash的协议。(The relevant documents of NAND flash controller can help both hardware designers and software maintainers become familiar with the NANA flash protocol as soon as possible.)
Platform: | Size: 22528 | Author: 沂水 | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net